CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Phase Detector Verilog

搜索资源列表

  1. 数字边沿鉴相器

    0下载:
  2. 数字边沿鉴相器 verilog源程序 -figures for 2500 phase-2500 verilog source digital phase detector verilog source
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-14
    • 文件大小:9056
    • 提供者:mingming
  1. phase_test

    0下载:
  2. 基于verilog的鉴相器设计,鉴相器是锁相环的一部分,功能是检测两个时钟是否同步-The phase detector based on verilog design, PLL phase detector is part of function is to test whether the two clock synchronization
  3. 所属分类:Document

    • 发布日期:2017-03-29
    • 文件大小:640
    • 提供者:林锋
  1. costas的verilog程序

    3下载:
  2. costas的verilog程序,包含乘法器,DDS,鉴相器,环路滤波器等模块-costas the verilog program, including multipliers, DDS, phase detector, loop filter modules
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-23
    • 文件大小:5957
    • 提供者:潇潇
  1. Verilog_module

    1下载:
  2. Verilog编写基于FPGA的鉴相器模块-Write Verilog FPGA-based phase detector module
  3. 所属分类:3G develop

    • 发布日期:2017-03-24
    • 文件大小:457720
    • 提供者:zhh
  1. dpll

    3下载:
  2. 基于Verilog的数字锁相环。包括三个模块,数字鉴相器DPD、数字环路滤波器DLF、数控振荡器 DCO三部分构成-Verilog-based digital PLL. Consists of three modules, the digital phase detector DPD, digital loop filter DLF, digitally controlled oscillator DCO three parts
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-27
    • 文件大小:668875
    • 提供者:栾帅
  1. chA

    1下载:
  2. phase frequency detector verilog
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:12982
    • 提供者:kdlee
  1. cordic_atan

    5下载:
  2. 用verilog语言实现计算反正切函数,在软件无线电中解调PM/FM中使用的尤为频繁。上传的压缩包是modelsim工程,基于6.5c,里边包含一个完整的PM波产生以及解调过程的matlab文件仿真,并取其中间的I和Q支路做为verilog文件的输入,并将其借条输出与MATLAB实际解调输出作比较。 鉴相器的设计基于CORDIC算法,其精度取决于迭代的深度。由于工程实际运用只需要解调出atan值,并不需要绝对的值,所以并没有给予加权,需要的同学可以自己加上。-Calculated usin
  3. 所属分类:数学计算/工程计算

    • 发布日期:2014-01-08
    • 文件大小:80195
    • 提供者:Jorge
  1. VPD__using_FFe

    1下载:
  2. verilog开发一种种基于fpga的鉴相器模块 -the verilog development of all kinds based on fpga phase detector module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:447672
    • 提供者:房产
  1. Verilog-Code

    1下载:
  2. Verilog source code by James Patchell: - Delta Sigma Modulator for doing Digital->Analog Conversion - Aquad-bquad phase detector - Uart Reciever - Uart Transmitter - One shot
  3. 所属分类:Other systems

    • 发布日期:2017-04-01
    • 文件大小:6853
    • 提供者:happyuser
  1. dpll

    0下载:
  2. 用verilog编写的全数字锁相环,包括鉴相器,模K计数器,加减脉冲模块和分频模块,都经过验证-verilog based digital phase lock loop design, including phase detector,mode K counter, increment/decrement counter and frequency divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6231
    • 提供者:chi zhang
搜珍网 www.dssz.com